找回密码
 立即注册

用Verilog编写的信号调制解调程序,包括ASK,FSK,QPSKk的FPGA实现

[复制链接]
发表于 2024-2-7 14:52:08 | 显示全部楼层 |阅读模式
文件列表:
├文件夹1:[module_dem]
│  ├文件夹1:[2ask]
│  │  ├(1)2ask.ise
│  │  ├(2)2ask.ise_ISE_Backup
│  │  ├(3)ask2.v
│  │  ├(4)ask2_summary.html
│  │  ├文件夹1:[_xmsgs]
│  │  │  └█
│  │  └█
│  ├文件夹2:[2askdem]
│  │  ├(1)2askdem.ise
│  │  ├(2)2askdem.ise_ISE_Backup
│  │  ├(3)2askdem.ntrc_log
│  │  ├(4)2askdem_ise9migration.zip
│  │  ├(5)er.v
│  │  ├(6)er_summary.html
│  │  ├(7)icon.arg
│  │  ├(8)icon.edn
│  │  ├(9)icon.ncf
│  │  ├(10)icon.ngo
│  │  ├(11)icon_xst_example.v
│  │  ├(12)icon_xst_verilog_example.arg
│  │  ├(13)ila.arg
│  │  ├(14)ila.cdc
│  │  ├(15)ila.edn
│  │  ├(16)ila.ncf
│  │  ├(17)ila.ngo
│  │  ├(18)ila_xst_example.v
│  │  ├(19)ila_xst_verilog_example.arg
│  │  ├(20)test.v
│  │  ├(21)testmodu.bgn
│  │  ├(22)testmodu.bit
│  │  ├(23)testmodu.bld
│  │  ├(24)testmodu.cel
│  │  ├(25)testmodu.cmd_log
│  │  ├(26)testmodu.drc
│  │  ├(27)testmodu.lfp
│  │  ├(28)testmodu.lso
│  │  ├(29)testmodu.ncd
│  │  ├(30)testmodu.ngc
│  │  ├(31)testmodu.ngd
│  │  ├(32)testmodu.ngr
│  │  ├(33)testmodu.pad
│  │  ├(34)testmodu.par
│  │  ├(35)testmodu.pcf
│  │  ├(36)testmodu.prj
│  │  ├(37)testmodu.stx
│  │  ├(38)testmodu.syr
│  │  ├(39)testmodu.twr
│  │  ├(40)testmodu.twx
│  │  ├(41)testmodu.ucf
│  │  ├(42)testmodu.unroutes
│  │  ├(43)testmodu.ut
│  │  ├(44)testmodu.xpi
│  │  ├(45)testmodu.xst
│  │  ├(46)testmodu_guide.ncd
│  │  ├(47)testmodu_map.map
│  │  ├(48)testmodu_map.mrp
│  │  ├(49)testmodu_map.ncd
│  │  ├(50)testmodu_map.ngm
│  │  ├(51)testmodu_pad.csv
│  │  ├(52)testmodu_pad.txt
│  │  ├(53)testmodu_prev_built.ngd
│  │  ├(54)testmodu_summary.html
│  │  ├(55)testmodu_summary.xml
│  │  ├(56)testmodu_usage.xml
│  │  ├(57)vio.arg
│  │  ├(58)vio.cdc
│  │  ├(59)vio.edn
│  │  ├(60)vio.ncf
│  │  ├(61)vio.ngo
│  │  ├(62)vio_xst_example.v
│  │  ├(63)vio_xst_verilog_example.arg
│  │  ├文件夹1:[_ngo]
│  │  │  ├(1)icon.ngo
│  │  │  ├(2)ila.ngo
│  │  │  ├(3)netlist.lst
│  │  │  ├(4)vio.ngo
│  │  │  └█
│  │  ├文件夹2:[_xmsgs]
│  │  │  ├(1)bitgen.xmsgs
│  │  │  ├(2)map.xmsgs
│  │  │  ├(3)ngdbuild.xmsgs
│  │  │  ├(4)par.xmsgs
│  │  │  ├(5)trce.xmsgs
│  │  │  ├(6)xst.xmsgs
│  │  │  └█
│  │  └█
│  ├文件夹3:[2FSK]
│  │  ├(1)2FSK.ise
│  │  ├(2)2FSK.ise_ISE_Backup
│  │  ├文件夹1:[isim]
│  │  │  ├文件夹1:[work]
│  │  │  │  ├文件夹1:[glbl]
│  │  │  │  │  ├(1)glbl.h
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)glbl.obj
│  │  │  │  │  │  └█
│  │  │  │  │  └█
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├(2)hdpdeps.ref
│  │  │  │  ├文件夹2:[stimu]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)stimu.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)stimu.h
│  │  │  │  │  ├(2)xsimstimu.cpp
│  │  │  │  │  └█
│  │  │  │  ├文件夹3:[two__fsk]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)two__fsk.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)two__fsk.h
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg2D]
│  │  │  │  │  ├(1)glbl.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg39]
│  │  │  │  │  ├(1)two__fsk.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[vlg3E]
│  │  │  │  │  ├(1)stimu.bin
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├(3)isim.cmd
│  │  ├(4)isim.hdlsourcefiles
│  │  ├(5)isim.log
│  │  ├文件夹2:[isim.tmp_save]
│  │  │  ├(1)_1
│  │  │  └█
│  │  ├(6)isimwavedata.xwv
│  │  ├(7)mod.v
│  │  ├(8)stimu.ant
│  │  ├(9)stimu.jhd
│  │  ├(10)stimu.tbw
│  │  ├(11)stimu.tfw
│  │  ├(12)stimu.xwv
│  │  ├(13)stimu.xwv_bak
│  │  ├(14)stimu_beh.prj
│  │  ├(15)stimu_bencher.prj
│  │  ├(16)stimu_isim_beh.exe
│  │  ├(17)two_fsk_summary.html
│  │  ├(18)xilinxsim.ini
│  │  ├文件夹3:[_xmsgs]
│  │  │  ├(1)fuse.xmsgs
│  │  │  └█
│  │  ├(19)__ISE_repository_2FSK.ise_.lock
│  │  └█
│  ├文件夹4:[2FSK_de]
│  │  ├(1)2FSK_de.ise
│  │  ├(2)2FSK_de.ise_ISE_Backup
│  │  ├(3)2FSK_de.ntrc_log
│  │  ├(4)2FSK_demod.v
│  │  ├(5)chipscope.cpj
│  │  ├(6)fsk_two_summary.html
│  │  ├(7)icon.arg
│  │  ├(8)icon.edn
│  │  ├(9)icon.ncf
│  │  ├(10)icon.ngo
│  │  ├(11)icon_xst_example.v
│  │  ├(12)icon_xst_verilog_example.arg
│  │  ├(13)ila.arg
│  │  ├(14)ila.cdc
│  │  ├(15)ila.edn
│  │  ├(16)ila.ncf
│  │  ├(17)ila.ngo
│  │  ├(18)ila_xst_example.v
│  │  ├(19)ila_xst_verilog_example.arg
│  │  ├文件夹1:[isim]
│  │  │  ├文件夹1:[work]
│  │  │  │  ├文件夹1:[fsk__two]
│  │  │  │  │  ├(1)fsk__two.h
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)fsk__two.obj
│  │  │  │  │  │  └█
│  │  │  │  │  └█
│  │  │  │  ├文件夹2:[glbl]
│  │  │  │  │  ├(1)glbl.h
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)glbl.obj
│  │  │  │  │  │  └█
│  │  │  │  │  └█
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├(2)hdpdeps.ref
│  │  │  │  ├文件夹3:[stimu]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)stimu.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)stimu.h
│  │  │  │  │  ├(2)xsimstimu.cpp
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg19]
│  │  │  │  │  ├(1)fsk__two.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg2D]
│  │  │  │  │  ├(1)glbl.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[vlg3E]
│  │  │  │  │  ├(1)stimu.bin
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├(20)isim.cmd
│  │  ├(21)isim.hdlsourcefiles
│  │  ├(22)isim.log
│  │  ├文件夹2:[isim.tmp_save]
│  │  │  ├(1)_1
│  │  │  └█
│  │  ├(23)isimwavedata.xwv
│  │  ├(24)stimu.ant
│  │  ├(25)stimu.jhd
│  │  ├(26)stimu.tbw
│  │  ├(27)stimu.tfw
│  │  ├(28)stimu.xwv
│  │  ├(29)stimu.xwv_bak
│  │  ├(30)stimu_beh.prj
│  │  ├(31)stimu_bencher.prj
│  │  ├(32)stimu_isim_beh.exe
│  │  ├(33)test_2FSK.v
│  │  ├(34)top.bgn
│  │  ├(35)top.bit
│  │  ├(36)top.bld
│  │  ├(37)top.cmd_log
│  │  ├(38)top.drc
│  │  ├(39)top.lso
│  │  ├(40)top.ncd
│  │  ├(41)top.ngc
│  │  ├(42)top.ngd
│  │  ├(43)top.ngr
│  │  ├(44)top.pad
│  │  ├(45)top.par
│  │  ├(46)top.pcf
│  │  ├(47)top.prj
│  │  ├(48)top.stx
│  │  ├(49)top.syr
│  │  ├(50)top.twr
│  │  ├(51)top.twx
│  │  ├(52)top.ucf
│  │  ├(53)top.unroutes
│  │  ├(54)top.ut
│  │  ├(55)top.xpi
│  │  ├(56)top.xst
│  │  ├(57)top_guide.ncd
│  │  ├(58)top_map.map
│  │  ├(59)top_map.mrp
│  │  ├(60)top_map.ncd
│  │  ├(61)top_map.ngm
│  │  ├(62)top_pad.csv
│  │  ├(63)top_pad.txt
│  │  ├(64)top_prev_built.ngd
│  │  ├(65)top_summary.html
│  │  ├(66)top_summary.xml
│  │  ├(67)top_usage.xml
│  │  ├(68)vio.arg
│  │  ├(69)vio.cdc
│  │  ├(70)vio.edn
│  │  ├(71)vio.ncf
│  │  ├(72)vio.ngo
│  │  ├(73)vio_xst_example.v
│  │  ├(74)vio_xst_verilog_example.arg
│  │  ├(75)xilinxsim.ini
│  │  ├文件夹3:[xst]
│  │  │  ├文件夹1:[dump.xst]
│  │  │  │  ├文件夹1:[top.prj]
│  │  │  │  │  ├文件夹1:[ngx]
│  │  │  │  │  │  ├文件夹1:[notopt]
│  │  │  │  │  │  │  └█
│  │  │  │  │  │  ├文件夹2:[opt]
│  │  │  │  │  │  │  └█
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)ntrc.scr
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  ├文件夹2:[projnav.tmp]
│  │  │  │  └█
│  │  │  ├文件夹3:[work]
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├文件夹1:[vlg02]
│  │  │  │  │  ├(1)vio.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹2:[vlg09]
│  │  │  │  │  ├(1)icon.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹3:[vlg19]
│  │  │  │  │  ├(1)fsk__two.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg39]
│  │  │  │  │  ├(1)two__fsk.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg3E]
│  │  │  │  │  ├(1)ila.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[vlg6F]
│  │  │  │  │  ├(1)top.bin
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├文件夹4:[_ngo]
│  │  │  ├(1)icon.ngo
│  │  │  ├(2)ila.ngo
│  │  │  ├(3)netlist.lst
│  │  │  ├(4)vio.ngo
│  │  │  └█
│  │  ├文件夹5:[_xmsgs]
│  │  │  ├(1)bitgen.xmsgs
│  │  │  ├(2)fuse.xmsgs
│  │  │  ├(3)map.xmsgs
│  │  │  ├(4)ngdbuild.xmsgs
│  │  │  ├(5)par.xmsgs
│  │  │  ├(6)trce.xmsgs
│  │  │  ├(7)xst.xmsgs
│  │  │  └█
│  │  └█
│  ├文件夹5:[ASK]
│  │  ├(1).lso
│  │  ├(2)ASK.ise
│  │  ├(3)ASK.ise_ISE_Backup
│  │  ├(4)ASK.ntrc_log
│  │  ├(5)ASK.v
│  │  ├(6)ASK_summary.html
│  │  ├(7)DEASK.v
│  │  ├(8)G.ant
│  │  ├(9)G.jhd
│  │  ├(10)G.tbw
│  │  ├(11)G.tfw
│  │  ├(12)G.xwv
│  │  ├(13)G.xwv_bak
│  │  ├(14)G_beh.prj
│  │  ├(15)G_bencher.prj
│  │  ├(16)G_isim_beh.exe
│  │  ├(17)icon.arg
│  │  ├(18)icon.edn
│  │  ├(19)icon.ncf
│  │  ├(20)icon.ngo
│  │  ├(21)icon_xst_example.v
│  │  ├(22)icon_xst_verilog_example.arg
│  │  ├(23)ila.arg
│  │  ├(24)ila.cdc
│  │  ├(25)ila.edn
│  │  ├(26)ila.ncf
│  │  ├(27)ila.ngo
│  │  ├(28)ila_xst_example.v
│  │  ├(29)ila_xst_verilog_example.arg
│  │  ├文件夹1:[isim]
│  │  │  ├文件夹1:[work]
│  │  │  │  ├文件夹1:[glbl]
│  │  │  │  │  ├(1)glbl.h
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)glbl.obj
│  │  │  │  │  │  └█
│  │  │  │  │  └█
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├(2)hdpdeps.ref
│  │  │  │  ├文件夹2:[two___a_s_k]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)two___a_s_k.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)two___a_s_k.h
│  │  │  │  │  └█
│  │  │  │  ├文件夹3:[vlg2D]
│  │  │  │  │  ├(1)glbl.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg47]
│  │  │  │  │  ├(1)_g.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg5C]
│  │  │  │  │  ├(1)two___a_s_k.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[_g]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)_g.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)xsim_g.cpp
│  │  │  │  │  ├(2)_g.h
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├(30)isim.cmd
│  │  ├(31)isim.hdlsourcefiles
│  │  ├(32)isim.log
│  │  ├文件夹2:[isim.tmp_save]
│  │  │  ├(1)_1
│  │  │  └█
│  │  ├(33)isimwavedata.xwv
│  │  ├(34)TEST.v
│  │  ├(35)testmodu.bgn
│  │  ├(36)testmodu.bit
│  │  ├(37)testmodu.bld
│  │  ├(38)testmodu.cmd_log
│  │  ├(39)testmodu.drc
│  │  ├(40)testmodu.lso
│  │  ├(41)testmodu.ncd
│  │  ├(42)testmodu.ngc
│  │  ├(43)testmodu.ngd
│  │  ├(44)testmodu.ngr
│  │  ├(45)testmodu.pad
│  │  ├(46)testmodu.par
│  │  ├(47)testmodu.pcf
│  │  ├(48)testmodu.prj
│  │  ├(49)testmodu.stx
│  │  ├(50)testmodu.syr
│  │  ├(51)testmodu.twr
│  │  ├(52)testmodu.twx
│  │  ├(53)testmodu.unroutes
│  │  ├(54)testmodu.ut
│  │  ├(55)testmodu.xpi
│  │  ├(56)testmodu.xst
│  │  ├(57)testmodu_guide.ncd
│  │  ├(58)testmodu_map.map
│  │  ├(59)testmodu_map.mrp
│  │  ├(60)testmodu_map.ncd
│  │  ├(61)testmodu_map.ngm
│  │  ├(62)testmodu_pad.csv
│  │  ├(63)testmodu_pad.txt
│  │  ├(64)testmodu_prev_built.ngd
│  │  ├(65)testmodu_summary.html
│  │  ├(66)testmodu_summary.xml
│  │  ├(67)testmodu_usage.xml
│  │  ├(68)TOP.V.v
│  │  ├(69)top_summary.html
│  │  ├(70)transcript
│  │  ├(71)two_ASK.lso
│  │  ├(72)two_ASK.prj
│  │  ├(73)two_ASK.stx
│  │  ├(74)two_ASK.xst
│  │  ├(75)two_ASK_summary.html
│  │  ├(76)vio.arg
│  │  ├(77)vio.cdc
│  │  ├(78)vio.edn
│  │  ├(79)vio.ncf
│  │  ├(80)vio.ngo
│  │  ├(81)vio_xst_example.v
│  │  ├(82)vio_xst_verilog_example.arg
│  │  ├(83)xilinxsim.ini
│  │  ├文件夹3:[xst]
│  │  │  ├文件夹1:[dump.xst]
│  │  │  │  ├文件夹1:[testmodu.prj]
│  │  │  │  │  ├文件夹1:[ngx]
│  │  │  │  │  │  ├文件夹1:[notopt]
│  │  │  │  │  │  │  └█
│  │  │  │  │  │  ├文件夹2:[opt]
│  │  │  │  │  │  │  └█
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)ntrc.scr
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  ├文件夹2:[projnav.tmp]
│  │  │  │  └█
│  │  │  ├文件夹3:[work]
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├文件夹1:[vlg02]
│  │  │  │  │  ├(1)vio.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹2:[vlg09]
│  │  │  │  │  ├(1)icon.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹3:[vlg0C]
│  │  │  │  │  ├(1)_a_s_k__two.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg3E]
│  │  │  │  │  ├(1)ila.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg5C]
│  │  │  │  │  ├(1)two___a_s_k.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[vlg6D]
│  │  │  │  │  ├(1)testmodu.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹7:[vlg6F]
│  │  │  │  │  ├(1)top.bin
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├文件夹4:[_ngo]
│  │  │  ├(1)icon.ngo
│  │  │  ├(2)ila.ngo
│  │  │  ├(3)netlist.lst
│  │  │  ├(4)vio.ngo
│  │  │  └█
│  │  ├文件夹5:[_xmsgs]
│  │  │  ├(1)bitgen.xmsgs
│  │  │  ├(2)fuse.xmsgs
│  │  │  ├(3)map.xmsgs
│  │  │  ├(4)ngdbuild.xmsgs
│  │  │  ├(5)par.xmsgs
│  │  │  ├(6)trce.xmsgs
│  │  │  ├(7)xst.xmsgs
│  │  │  └█
│  │  ├(84)__ISE_repository_ASK.ise_.lock
│  │  └█
│  ├文件夹6:[QPSK]
│  │  ├文件夹1:[isim]
│  │  │  ├文件夹1:[work]
│  │  │  │  ├文件夹1:[glbl]
│  │  │  │  │  ├(1)glbl.h
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)glbl.obj
│  │  │  │  │  │  └█
│  │  │  │  │  └█
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├(2)hdpdeps.ref
│  │  │  │  ├文件夹2:[stimu]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)stimu.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)stimu.h
│  │  │  │  │  ├(2)xsimstimu.cpp
│  │  │  │  │  └█
│  │  │  │  ├文件夹3:[vlg2D]
│  │  │  │  │  ├(1)glbl.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg3E]
│  │  │  │  │  ├(1)stimu.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg47]
│  │  │  │  │  ├(1)_q_p_s_k.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[_q_p_s_k]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)_q_p_s_k.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)_q_p_s_k.h
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├(1)isim.cmd
│  │  ├(2)isim.hdlsourcefiles
│  │  ├(3)isim.log
│  │  ├文件夹2:[isim.tmp_save]
│  │  │  ├(1)_1
│  │  │  └█
│  │  ├(4)isimwavedata.xwv
│  │  ├(5)mod.v
│  │  ├(6)mod_summary.html
│  │  ├(7)QPSK.ise
│  │  ├(8)QPSK.ise_ISE_Backup
│  │  ├(9)stimu.ant
│  │  ├(10)stimu.jhd
│  │  ├(11)stimu.tbw
│  │  ├(12)stimu.tfw
│  │  ├(13)stimu.xwv
│  │  ├(14)stimu.xwv_bak
│  │  ├(15)stimu_beh.prj
│  │  ├(16)stimu_bencher.prj
│  │  ├(17)stimu_isim_beh.exe
│  │  ├(18)xilinxsim.ini
│  │  ├文件夹3:[_xmsgs]
│  │  │  ├(1)fuse.xmsgs
│  │  │  └█
│  │  ├(19)__ISE_repository_QPSK.ise_.lock
│  │  └█
│  ├文件夹7:[QPSK_dem]
│  │  ├(1).lso
│  │  ├(2)chipscope.cpj
│  │  ├(3)demo.v
│  │  ├(4)genExpectedResults.cmd
│  │  ├(5)icon.arg
│  │  ├(6)icon.edn
│  │  ├(7)icon.ncf
│  │  ├(8)icon.ngo
│  │  ├(9)icon_xst_example.v
│  │  ├(10)icon_xst_verilog_example.arg
│  │  ├(11)ila.arg
│  │  ├(12)ila.cdc
│  │  ├(13)ila.edn
│  │  ├(14)ila.ncf
│  │  ├(15)ila.ngo
│  │  ├(16)ila_xst_example.v
│  │  ├(17)ila_xst_verilog_example.arg
│  │  ├文件夹1:[isim]
│  │  │  ├文件夹1:[work]
│  │  │  │  ├文件夹1:[glbl]
│  │  │  │  │  ├(1)glbl.h
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)glbl.obj
│  │  │  │  │  │  └█
│  │  │  │  │  └█
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├(2)hdpdeps.ref
│  │  │  │  ├文件夹2:[stimu]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)stimu.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)stimu.h
│  │  │  │  │  ├(2)xsimstimu.cpp
│  │  │  │  │  └█
│  │  │  │  ├文件夹3:[vlg2D]
│  │  │  │  │  ├(1)glbl.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg3E]
│  │  │  │  │  ├(1)stimu.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg50]
│  │  │  │  │  ├(1)_q_p_s_k__two.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[_q_p_s_k__two]
│  │  │  │  │  ├文件夹1:[mingw]
│  │  │  │  │  │  ├(1)_q_p_s_k__two.obj
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)_q_p_s_k__two.h
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├(18)isim.cmd
│  │  ├(19)isim.hdlsourcefiles
│  │  ├(20)isim.log
│  │  ├文件夹2:[isim.tmp_save]
│  │  │  ├(1)_1
│  │  │  └█
│  │  ├(21)isimwavedata.xwv
│  │  ├(22)QPSK_dem.ise
│  │  ├(23)QPSK_dem.ise_ISE_Backup
│  │  ├(24)QPSK_dem.ntrc_log
│  │  ├(25)QPSK_two.prj
│  │  ├(26)QPSK_two.stx
│  │  ├(27)QPSK_two.xst
│  │  ├(28)QPSK_two_summary.html
│  │  ├(29)stimu.ano
│  │  ├(30)stimu.ant
│  │  ├(31)stimu.jhd
│  │  ├(32)stimu.tbw
│  │  ├(33)stimu.tfw
│  │  ├(34)stimu.xwv
│  │  ├(35)stimu.xwv_bak
│  │  ├(36)stimu_beh.prj
│  │  ├(37)stimu_bencher.prj
│  │  ├(38)stimu_gen.prj
│  │  ├(39)stimu_isim_beh.exe
│  │  ├(40)stimu_selfcheck_beh.v.temp
│  │  ├(41)stimu_tbxr.exe
│  │  ├(42)test.v
│  │  ├(43)top.bgn
│  │  ├(44)top.bit
│  │  ├(45)top.bld
│  │  ├(46)top.cmd_log
│  │  ├(47)top.drc
│  │  ├(48)top.lso
│  │  ├(49)top.ncd
│  │  ├(50)top.ngc
│  │  ├(51)top.ngd
│  │  ├(52)top.ngr
│  │  ├(53)top.pad
│  │  ├(54)top.par
│  │  ├(55)top.pcf
│  │  ├(56)top.prj
│  │  ├(57)top.stx
│  │  ├(58)top.syr
│  │  ├(59)top.twr
│  │  ├(60)top.twx
│  │  ├(61)top.unroutes
│  │  ├(62)top.ut
│  │  ├(63)top.xpi
│  │  ├(64)top.xst
│  │  ├(65)top_guide.ncd
│  │  ├(66)top_map.map
│  │  ├(67)top_map.mrp
│  │  ├(68)top_map.ncd
│  │  ├(69)top_map.ngm
│  │  ├(70)top_pad.csv
│  │  ├(71)top_pad.txt
│  │  ├(72)top_prev_built.ngd
│  │  ├(73)top_summary.html
│  │  ├(74)top_summary.xml
│  │  ├(75)top_usage.xml
│  │  ├(76)vio.arg
│  │  ├(77)vio.cdc
│  │  ├(78)vio.edn
│  │  ├(79)vio.ncf
│  │  ├(80)vio.ngo
│  │  ├(81)vio.prj
│  │  ├(82)vio.stx
│  │  ├(83)vio.xst
│  │  ├(84)vio_xst_example.v
│  │  ├(85)vio_xst_verilog_example.arg
│  │  ├(86)xilinxsim.ini
│  │  ├文件夹3:[xst]
│  │  │  ├文件夹1:[dump.xst]
│  │  │  │  ├文件夹1:[top.prj]
│  │  │  │  │  ├文件夹1:[ngx]
│  │  │  │  │  │  ├文件夹1:[notopt]
│  │  │  │  │  │  │  └█
│  │  │  │  │  │  ├文件夹2:[opt]
│  │  │  │  │  │  │  └█
│  │  │  │  │  │  └█
│  │  │  │  │  ├(1)ntrc.scr
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  ├文件夹2:[projnav.tmp]
│  │  │  │  └█
│  │  │  ├文件夹3:[work]
│  │  │  │  ├(1)hdllib.ref
│  │  │  │  ├文件夹1:[vlg02]
│  │  │  │  │  ├(1)vio.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹2:[vlg09]
│  │  │  │  │  ├(1)icon.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹3:[vlg3E]
│  │  │  │  │  ├(1)ila.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹4:[vlg47]
│  │  │  │  │  ├(1)_q_p_s_k.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹5:[vlg50]
│  │  │  │  │  ├(1)_q_p_s_k__two.bin
│  │  │  │  │  └█
│  │  │  │  ├文件夹6:[vlg6F]
│  │  │  │  │  ├(1)top.bin
│  │  │  │  │  └█
│  │  │  │  └█
│  │  │  └█
│  │  ├文件夹4:[_ngo]
│  │  │  ├(1)icon.ngo
│  │  │  ├(2)ila.ngo
│  │  │  ├(3)netlist.lst
│  │  │  ├(4)vio.ngo
│  │  │  └█
│  │  ├文件夹5:[_xmsgs]
│  │  │  ├(1)bitgen.xmsgs
│  │  │  ├(2)fuse.xmsgs
│  │  │  ├(3)map.xmsgs
│  │  │  ├(4)ngdbuild.xmsgs
│  │  │  ├(5)par.xmsgs
│  │  │  ├(6)trce.xmsgs
│  │  │  ├(7)xst.xmsgs
│  │  │  └█
│  │  └█
│  └█
└█

用Verilog编写的信号调制解调程序,包括ASK,FSK,QPSKk的FPGA实现.rar (5.79 MB, 下载次数: 0, 售价: 30 积分)


回复

使用道具 举报

小黑屋|获取积分|网站地图|必过源码 ( 湘ICP备2020019413号-2 )

GMT+8, 2024-9-20 00:47 , Processed in 0.060986 second(s), 22 queries .

Powered by Biguo100

2006-2023 Biguo100 Team

快速回复 返回顶部 返回列表